2016 - 2024

感恩一路有你

汽车尾灯控制电路设计(汽车尾灯控制器设计?)

浏览量:2149 时间:2023-01-08 12:13:26 作者:采采

汽车尾灯控制电路设计(汽车尾灯控制器设计?)

汽车尾灯控制器设计?

基于单片机的汽车尾灯控制器的设计要求具备以下功能:

(1)尾灯控制器由液晶屏、按键、蜂鸣器、LED灯、超声波模块、照度传感器等组成。

(2)要求开机后在液晶屏上显示课程名称、班级、学号、姓名等信息,要求显示时间为5秒;

(3)车尾左右两侧有四个灯:黄灯、红灯、雾灯、倒车灯,前方有灯(远光灯、近光灯)、黄灯、雾灯;

(4)白天正常行驶时,车灯不亮,夜间行驶时,两个前车灯亮;

(5)左转时,左黄灯亮(2Hz),右转时,右黄灯亮;

(6)汽车刹车时,两个红灯都亮;

(7)能见度低或夜间行驶时,雾灯开启;

(8)倒车时,红灯和倒车灯亮。倒车过程中最近障碍物的距离由超声波传感器测量,当安全距离小于5米、2米、1米、0.5米时,蜂鸣器鸣响更快。

(9)所有的状态和测量参数应显示在液晶显示屏上。

汽车尾灯控制时序逻辑电路设计毕业论文?

1设计简介

1。1初始条件:

本课程设计要求使用中小型集成电路芯片7400、7404、74138、7476、7486等器件实现汽车尾灯显示的控制功能。工作电源Vcc为12V。电路组成框图。如图1所示:

图1电路框图

1。

2需要完成的主要任务:

1。2。1设计任务

根据已知条件,设计并制作了汽车尾灯显示器的控制电路。

1。2。2设计要求

车尾左右各有三个指示灯(LED模拟)。这些要求是:

汽车正常行驶时,尾灯全部熄灭。

汽车右转时,右边的三个指示灯依次亮起。

汽车左转时,左侧的三个指示灯向左方向亮起。

临时制动时,所有指示灯同时闪烁。

选择电路方案,完成已确定的电路方案的设计。计算电路元件参数和元件选择,画出整体电路原理图,并说明基本原理。

制作实际操作装置。(可选:用EWB软件完成仿真),按规定格式写设计报告。

目录

1设计概论

1。1设计要求

1。

2需要完成的主要任务

2设计原则

2。1汽车尾灯显示状态与汽车行驶状态的关系2

2。2汽车尾灯控制器的功能

2。

3系统框图

单元电路设计与分析

3。1开关控制电路

3。二进制计数器

3。

3解码和显示驱动电路

3。4尾灯状态显示电路

附录1总体电路图。18

附录2部件清单

参考:

1-@qq.com

基于VHDL语言的汽车尾灯控制电路设计摘要3360本课题主要基于可编程逻辑器件,使用硬件描述语言VHDL,采用自顶向下的设计方法编写程序实现汽车尾灯的控制,并对控制器进行编程和下载。它体积小、功耗低、成本低、安全可靠,并能实现控制器的在系统编程,其升级改进极为方便。关键词: VHDL汽车尾灯控制时钟信号1。尾灯控制电路总框图。根据电路总框图的描述,我们大概可以了解整个汽车尾灯的工作原理,从中可以发现,当左右转向灯同时有效时,六个灯的闪烁是由一个与非门实现的。并且可以知道,本次设计的尾灯控制电路主要分为三个模块,即控制模块、左转LFTA模块和右转丽塔模块。知道了这几点,我们就可以详细解释这个设计了。2.模块孔。模块孔如图所示,是整个程序的控制模块。程序如下:库IEEE use _ logic _ entity kong is port(左、右: in STD _ logic LFT、RIT、lr:out std_logic) End kong架构kong_logic of kong is Begin Process(左、 右)变量a : STD _ logic _ vector(1 down to 0)Begin a :=左放大器右Case a is When quot; 00 quot;=gtlftlt=#039; 0 #039; Lr lt=#039; 0 #039; When quot; 10 quot;=gtlftlt=#039; 1 #039; Ritlt=#039; 0 #039; Lr lt=#039; 0 #039; When quot; 01 quot;=gtritlt=#039; 1 #039; Lftlt=#039; 0 #039; Lr=#039; 0 #039; When other=gtritlt=#039; 1 #039; lflt=#039; 1 #039; lrlt 该控制模块定义的实体名为孔。在程序中,实体名称应该与存储的文件名一致。如果实体名为kong,存储的文件名为kong.vhd,该段包有五个端口,名称分别为left.right.lft.rit.lr .和right为输入端口,left、rit和lr为输出端口,端口类型为std_logic数据类型。描述部分结束后,是结构的描述部分。结构是整个VHDL语言的重要组成部分。这部分给出了模块的具体描述,并指定了输入和输出之间的行为。一个结构的输入输出关系可以用三种方式描述:行为描述、寄存器传输描述和结构描述。除了结构的框架完全相同。这个结构包含一个过程语句,过程语句还包含两个敏感量,process(左,右)。它是一组从流程开始到结束的顺序执行语句。ieee标准数据类型“STD _ begin _ vector”定义了一个两位向量1downto 0,变量为A,程序将左右之和赋给A,然后执行case语句。case语句是无序的,因此所有条件表达式的值都是并行处理的。当条件表达式的值为“00”时,lft,rit,lr,都变成0,所有信号无效。当条件表达式为“10”时,左转信号lft有效,其他所有信号无效。当条件表达式为“01”时,右转信号rit有效,其他无效。如果条件表达式是other,那么rit,lft,lr LR都设置为1,即都有效。语句。结束进程和结构语句。3.模块LFTA源程序:库IEEE use _ logic _ entity LFTA is port(en,clk,LR3360IN STD _ logic L2,L1,l0:out std_logic) End lfta架构lft _ arc of lfta is Begin Process(clk,en,LR)变量tmp : STD _ logic _ vector(2 down to 0)Begin If lr=#039; 1 #039; then tmp :=quot; 111 quot; Elsif en=#039; 0 #039; then tmp :=quot; 00定义的实体名是LFTA,分为六个端口,分别是en、clk、lr、l2。l1,l0,其中en,clk,lr为输入,l2,L1,l0的端口模式为输出,其端口类型也为std_logic数据类型。

在lfta程序中,结构名为lft_arc,实体名为LFTA。在结构中有一个过程,其中定义了三个敏感量CLK、EN和LR,变量名tmp是一个2下到0的三位向量。左右开关同时打开时,lr有效,即lr=1。此时tmp:=quot;111 quot;右侧三灯区全部亮,当tr=1但en=0时,左侧三灯区全部灭。如果这两种情况都不成立,那么当lr=#039;0 #039;是时钟的上升沿脉冲时,如果tmp=quot;000 quot;则左边第一个灯亮,否则将tmp(1 downto 0)和#039; 0 #039;之和赋给tmp,然后左边三个灯区从左到右依次开关。最后,tmp(2)发送到l2,tmp(1)发送到l1,tmp(0)发送到lo,结束程序和结构。这是左转时程序执行的全过程。通过理解左转,就很容易做出右转,其执行过程与左转非常相似。我们还可以发现,LFTA模块的作用是在左转时控制左三灯区,当左右信号有效时,输出都是‘1’。让我们来看看右转控制模块。4.模块RITA源程序:库IEEE use _ logic _ entity RITA is port(en,CLK,LR3360IN STD _ logic R2,R1,r0:out std_logic) End rita架构rit _ arc of RITA is Begin Process(clk,en, lr)变量tmp : STD _ logic _ vector(2 down to 0)Begin If lr=#039; 1 #039; then tmp :=quot; 111 quot; Elsif en=#039; 0 #039; then tmp :=quot; 000 quot; Elsif clk #039; event and clk=#039; 1 #039; then If tmp=quot; 000 quot; then tmp :=quot; 100 quot; Else tmp :=#039; 0 #039; amp tmp(2 down to 1)end If r2lt=tmp(2 此时库定义的实体名是rita,前面已经提到过,不再赘述。六个端口en、clk、lr、r2、r1、r0。en、CLK和LR用在同一个封装中,R2、R1和R0的端口是输入和输出。在结构中,引入了一个过程和:clk、en、lr三个敏感量,引入方式与左转相同。变量tmp是一个从2到0的三位向量。当左右开关同时打开时,lr=#039;1 #039;那么此时的变量tmp=#039;111 #039;也就是右边的三灯区有信号,三灯区全部打开。否则,lr=#039;0 #039;当en=#039;0 #039;tmp=#039;000 #039;时,即三灯区被完全破坏。Elsif clk#039;event和clk=#039;1#039; 1 #039;表示当时钟脉冲的上升沿到来时,en=#039;1 #039;如果tmp=quot;000 quot;发送quot; 100 quot;到tmp。这时,右边的第一盏灯亮了。否则,会将#039; 0 #039;和tmp(2 downto 1)的和发送到tmp,并依次点亮右边的第一盏灯、第二盏灯和第三盏灯。然后结束if语句。之后和左转的程序一样,把tmp(2)里的值发给r2,把tmp(1)里的值发给r1,把tmp(0)里的数据发给r0,然后结束流程语句和整个结构语句。然后全车尾灯的VHDL程序控制就结束了。5.结论3360本设计采用硬件描述语言VHDL来实现汽车尾灯的控制。总结整个设计方案,可以发现一些问题。外观设计3360的优点基本实现了汽车行驶时尾灯点亮的各种情况。设计上少了:因为驱动时所有开关都是控制的,所以每个开关都要有消除机械振动的装置,基本的RS触发就可以实现。所以在条件允许的情况下可以进一步完善整个设计。6.参考文献:王机械工业出版社2006年1月彭荣秀武汉理工大学出版社2005年9月潘清华大学出版社2006年11月27库IEEE use _ logic _ entity Zhu kong is Port(left,right:in std_logic Lft,rit,lr : out STD _ logic)end architecture kong _ arc of Zhu kong is Begin Process(left,right)变量a 3360 STD _ logic _ vector(1 downto 0

尾灯 汽车 设计 结构

版权声明:本文内容由互联网用户自发贡献,本站不承担相关法律责任.如有侵权/违法内容,本站将立刻删除。