2016 - 2024

感恩一路有你

vcs仿真工具使用说明 如何使用vcs仿真生成FSDB波形文件?

浏览量:2124 时间:2021-03-23 12:39:50 作者:admin

如何使用vcs仿真生成FSDB波形文件?

需要在Verdi目录-P/tools/novas/Verdi-200810/share/PLI/vcs2006.06/linux/novas.标签/Tools/novas/verdi-200810/share/PLI/vcs2006.06/linux/PLI。FSDB(fast signal database)是Verdi支持的文件格式,用于保存仿真生成的信号波形。根据Verdi文档,FSDB比标准VCD格式节省磁盘空间和处理速度更快。为了用vcsemulator生成FSDB文件,我们需要调用Verdi提供的FSDB转储命令,更常用的方法是在Testbench中调用它。

首先,将FSDB dumper library的路径添加到LD|library|LD命令的格式如下:$]Linux 32位环境变量|library|path)然后

setenv LD|library|path${LD|library|path}:${NOVAS|HOME}/share/PLI/VCS/Linux

else

setenv LD|library|path${NOVAS|HOME}/share/PLI/VCS/Linux

endif

vcs仿真工具使用说明 vcs仿真卡死 vcs格式怎么打开

版权声明:本文内容由互联网用户自发贡献,本站不承担相关法律责任.如有侵权/违法内容,本站将立刻删除。